显示页面讨论修订记录反向链接回到顶部 索引 这是根据 命名空间 排列的所有可访问页面的索引。 2019.4.15day1项目主题 book discussion fpga fpga-soc life playground students wiki 丝印的显示设置和调节 万用表 三色灯颜色和亮度控制 个人简历 串口接口引脚定义 串口监视系统设计 乒乓球游戏 乘法器 了解实战营与研究方向综述 交互式高亮查看 交互式bom的使用 今日头条 以太网接口引脚定义 位运算符 何谓应用手册 信号与系统常用公式 信号发生器 半导体存储器 半导体开关_ipd 卡诺图 原理图的完成 原理图_pcb交互式布局 变量 四值逻辑 国际单位制作词头 国际标准 图像化lcd屏 图片显示系统设计 圆弧板边的设置 基于树莓派的双通道高速adc的设计 基本信息 基本语法 基本门电路 元器件的可靠渠道备料 元器件的定位_移动_对齐 充电控制ic 光度传感器 光敏传感器 光纤电缆的颜色代码 光遮断器 关系运算符 再次答辩与项目完善 几篇关于点亮led的文章 分贝表 刘帅 向量 呼吸灯 器件的数字接口 大学生电子设计竞赛 姿态传感器 字符串 学习主板 学员1 学员2 学员3 实数 实验1-1_2输入基本门电路 实验1-2_多输入基本门电路 实验1-3_卡诺图变换 实验2-1_2选1选择器 实验2-2_4位比较器 实验2-3_译码器 实验3-1_译码器 小脚丫step-baseboard实验平台硬件说明 屏幕保护系统设计 嵌入式系统设计 嵌入式系统 布尔逻辑门 布尔逻辑 常数 常用电子元器件 常见错误 并行接口引脚定义 序列检测器 开发板1 开发板2 开发板8 开关信息 开关稳压 异形板边的设置 引脚分频说明 微机原理与接口 快速上手step-max10 快速上手step-mxo2-c 抽象级别 拼接位运算符 按键消抖 描述一个电子系统项目 描述你的电子系统项目 最新培训 条件运算符 手势识别 打印头 扩展模块_传感器 扩展模块_无线测试 扩展模块_电子琴 扩展模块_计算器 扩展模块_led点阵 数字万年历设计 数字温湿度计设计 数字电路基础 数字示波器 数字音频广播 数据类型 数码管模块 整数 文本lcd模块的控制 文章标题12 旋转编码器控制 旋转编码器模块 旋转调节系统设计 无线电频率 无线路由器 无线麦克风 无线 无线m-bus协议 时钟 智能接近系统设计 智能手机 智能手环 标准插座引脚定义 标准电容表 标准电阻表 标识符 树莓派 核心板_altera_max08m02 核心板_altera_max08m08 核心板_lattice_xo2 模块例化 模拟音频广播 比赛计分系统设计 汽车obd 波形信号发生器设计 测试测量仪器 测试点的使用 流水灯 温度传感器模块 温度计 激光二极管 直流电压测量 相机 矩阵按键模块 矩阵键盘键入系统设计 火线接口引脚定义 点亮led灯 热点产品 物联网系统 物质电阻率表 电子百科100讲 电容标记代码表 电机控制 电感 电池 电赛临时页面 电赛临时页面2 电路原理图符号 研究方向_可见光通信visible_light_communication_vlc 硬件系统两月综合实战培训 硬禾实战营verilog代码规范 硬禾愿景 移动位运算符 符号和简写表 第33节_隐藏管脚的处理和power_flag的应用 第35节_未连接的元器件管脚的处理 第36节_原理图的输出及归档 第37节_将原理图中的元器件和正确的封装关联 第38节_创建一个库中没有的封装 第39节_保存新建的封装到自己创建的库 第40节_用pcbnew创建一个2层板并设置 第41节_在pcbnew中对元器件进行布局 第42节_通过nets来控制不同的线宽 第43节_焊盘间连线和过孔的使用 第44节_添加gnd_和vcc敷铜 第46节_添加必要的文本标注 第47节_添加一个装饰性的图形 第48节_配置3d模型和3d查看 第49节_导出gerber文件 第50节_生成bom和备料 答辩与项目完善 简易电压表设计 简易电子琴设计 算术运算符 篮球24秒计时器 红外遥控技术 线性稳压 线网 苹果30针连接头引脚定义 蜂窝网络 蜂鸣器模块 脉冲发生器 自己设计一款cpu 蓝牙 薄膜压电mems 行业展会 表决器 计数的艺术 评估套件 译码器 跨越时钟域 软件安装及配置 载流量表 过孔的使用 运算符的优先级 运算符 近十年电子设计大赛题目 音乐盒 适配板_树莓派 适配板_arduino 逻辑运算符 逻辑_0 阻塞赋值_非阻塞赋值 项目三_学员1 项目三_学员2 项目三_学员3 项目主题 项目分配与初步确定 项目总结 1._点亮led 2._rgb_led 3._3-8译码器 3pa1030app 4._数码管显示 5._时钟分频 6._led流水灯 7段数码管 7-seg_disp_verilog 7._按键消抖 8._计时控制 9._呼吸灯 10._交通灯 25通道电话电缆颜色代码 78xx芯片 78xx 79xx 100_电气电子领域的常用电路图符号 150_projects_university_students 555projects 2016-06-22 2017最强毕业生两月实训 2017第一期fpga两周实训 2019年全国大学生电子设计大赛通知 2019.4.15day1学习wiki系统的使用 2019.4.16day2报告总结_学习课程以及diamond安装调试 2019.4.17day3项目整体设计以及查找资料 2019.4.18day4项目电路板整体结构布局 2019.4.19day5学习verilog语法 2019.4.22day1pcb学习 2019.4.23day2方案器件更改及绘出pcb原理图 2019.4.24day3原理图的检查以及布线的学习 2019.4.25day4布线规则学习及操作 2019.4.25day4 2019.4.26day5布线规则学习及操作_两块板子 2022camp 2040-expboard 7400_ic 8051 8051_microcontroller_projects 8051_sp a_d转换器 about8051 about_verilog ac_dc转换器 accelerometer acdlearning acl_hsadc acladcdriver aclampcon aclclock aclfilter aclportablescope aclprobe ad590 ad7920app adamtaylorfpga adas adc数模转换模块 adc adc_dac adc_for_rpi_scope adcsampling adddecro2pcb addpart2kicadpcb addpart2kicadsch adi_eval-cn0234-sdpz_开发板 agricultural_project aiomodule air_force_engineering_university alcohol_sensor_projects all_sensor_based_projects altera_1led altera_2rgbled altera_3ymq altera_4seg altera_5clk altera_6led altera_7deb altera_8timer altera_9breath altera_10tra altera_corp altitudesensors altium2kicad altium_designer alu always analog_devices_inc analog_discovery_2_参考手册 analogchain and android智能硬件一月实战培训 android_projects anhui anhui_agricultural_university anhui_jianzhu_university anhui_normal_university anhui_polytechnic_university anhui_science_and_technology_university anhui_university anhui_university_of_science_technology anhui_university_of_technology annularring anqing_normal_university arduino相关的项目 arduino-due_sp arduino-ethenet_sp arduino-fio_sp arduino-lab arduino-leonardo_sp arduino-mega2560_sp arduino-mini_sp arduino-pro_sp arduino-uno_sp arduino arduino_coding arduino_displays arduino_interfaces arduino_port_expanders arduino_project arduino_projects arduino_rotary_encoder arduino_sp arithmetic_opencore armprojects army_engineering_university_of_pla article_wechat_gongyu article_wechat_sk as_verilog ascii表 asic assign async_verilog_source atx电源接口引脚定义 audio autoexpert_wechat automatic automotive avr awg和swg线规 awg_sp baoji_university_of_arts_and_science baotou_teacher_s_college barometersensors baseboard_arduino baseboard_rpi basys3开发板 basys_3 beaglebone_black_开发板 begin beibugulf_university beifang_university_of_nationalities beihang_university beihua_university beijing beijing_electronic_science_techonlogy_institute beijing_forestry_university beijing_information_science_tecchnology_unversity beijing_institute_of_automation_chinese_academy_of_sciences beijing_institute_of_semiconductors_chinese_academy_of_sciences beijing_institute_of_techonology beijing_jiaotong_university beijing_normal_university beijing_technology_and_business_university beijing_union_university beijing_university_of_chemical_technology beijing_university_of_civil_engineering_and_architecture beijing_university_of_foreign_chinese beijing_university_of_posts_and_telecommunications beijing_university_of_technology beijing_university_of_traditional_chinese_medicine binary_to_bcd ble_comp_tester blink blink_test bluetooth_projects boardlist202109 bohai_university bom管理 bom book book4nuedc book_excise_audio_if book_excise_bch_coding book_excise_caculator book_excise_digital_clock book_excise_i2c_if book_excise_keyscan_7seg book_excise_lcd_touch book_excise_led book_excise_nios book_excise_pulse_generator book_excise_pwm book_excise_serial_if book_excise_sram_if book_excise_uclinux book_excise_vga_if book_excise_video_if book_excise_waveform_generator breath_led.v breath_led_test.v breath_led_verilog buf bufif0 bufif1 bus buzzer cackicadtrackwidth cad cap_sp capacitivesensors capital_medical_university capital_normal_university capital_university_of_economics_and_business case casex casez central_china_normal_university central_south_university central_south_university_of_forestry_technology central_university_of_finance_economic ch579_core16 chang_an_university changchun_normal_university changchun_university changchun_university_of_science_and_technology changchun_university_of_technology changsha_university_of_science_technology changzhou_university charger_circuits chengdu_university chengdu_university_of_information_technology chengdu_university_of_technology chifeng_university china_agriculture_university china_jiliang_university china_three_grouse_university china_university_of_geosciences_beijing china_university_of_geosciences_wuhan china_university_of_mining_and_technology china_university_of_mining_and_technology_beijing china_university_of_petroleum_beijing china_university_of_petroleum_east_china china_university_of_political_science_and_law china_west_normal_university chinese_academy_of_agricultural_sciences chinese_the_rocket_force_engineering_university_of_the_people_s_liberation_army chongqin chongqin_normal_university chongqin_university chongqing_jiaotong_university chongqing_medical_university chongqing_technology_and_business_university chongqing_three_gorges_university chongqing_university_of_posts_and_telecommunications chongqing_university_of_science_technology chongqing_university_of_technology cisc civil_aviation_flight_university_of_china civil_aviation_university_of_china clocking cm4instru cmos相机 cmos cnc步进电机控制 color_led.v commprojects communication_university_of_china communicationcontroller_opencore competition contest_training_board coprocessor_opencore coremodule16 cpld cpu的基础知识 creatakicad6project creatkicad6projectbasedontemplate creatschinkicad6 crypto_opencore currentsensor custschtemp4kicad6 cyc10_soc d_a转换器 d_flip-flop dac数模转换模块 dac daimond软件的安装以及熟悉 dali_university dalian_jiaotong_university dalian_maritime_university dalian_polytechnic_university dalian_university dalian_university_of_technology data_comparator data_selector datasheet2symbol datong_university day1 day1shuai day2 day2_-_2019.4.16 day3 day3_-_2019.4.17 day4 day4_-_2019.4.18 day5 day6_-_2019.4.22 day_1 day_1_haoqian.li day_2 day_2_haoqian.li day_3 day_3_haoqian.li day_4 day_4_haoqian.li day_5 day_5_haoqian.li day_6_haoqian.li day_7_haoqian.li day_8 day_8_haoqian.li day_9 day_9_haoqian.li day_10 day_10_haoqian.li day_11 day_11_haoqian.li day_12 day_12_haoqian.li day_13 day_13_haoqian.li day_14 day_14_haoqian.li day_15 day_15_haoqian.li day_16_haoqian.li day_17_haoqian.li day_18_haoqian.li day_19_haoqian.li day_20_haoqian.li day_21 day_22 dc_dc转换器 dcm dcpowersupply ddr3 dds直接数字合成 dds dds_ad9740 dds_ad9837 dds_awg_open_platform dds_hsdac dds_r2r dds_verilog dds_xo2r2r ddsboard deassign debounce.v debounce1.v debug_tool decode38.v decode38_test.v dect无绳电话 default defparam designruleforkicadhiresch designtool dev_board dhcdce dialog_semiconductor diamond digilent_excise digital_circuit_exp digital_electronics_projects digital_filter digital_frequency_meter digital_logic_decoder digital_logic_encoder digital_logic_tutorial digital_system_book digital_temperature_sensor digitallogic_verilog diode_sp diodes_what_01 diodes_what_02 diodes_what_03 diodes_what_04 diodes_what_05 diodes_what_06 diodes_what_07 diodes_what_08 dip40 disable discparts display_port引脚定义 display_verilog diyscope dled_disp dll dllibfromweb docarchive dokuwiki dongbei_university_of_finance_economics dongguan_university_of_technology donghua_university donkey_car_driver dp-link dragonboard_410c drc ds18b20 ds1302 ds1820 dsp dsp_opencore dtmf dtmfprojects dvi接口引脚定义 eagle east_china_normal_university east_china_university_of_political_science_and_law east_china_university_of_science_and_technology east_china_university_of_technology eastchina_jiaotong_university ecbc ecc_opencore eceprojects eda edufpga_20200113 eebaike eeeprojects eesites eetree_class eetree_learning_kit eetree_mini_program_resource eide_ata_-_sata引脚定义 eieprojects electricalprojects electrocardiogram electronic_projects_description electronics-lab electronics_design_contest electronics_design_contest_2023 electronics_project_ideas electronics_projects_description elehubbasic elem_sp eleprojects else embeddedprojects end endcase endfunction endgenerate endmodule endprimitive endspecify endtable endtask energy_saving_electronics_projects entry_verilog environmental_sensor epaper epp接口 erc esp32 esp32_ice40 esp32_sp esp32s2_up5k esp8266 esp8266_sp esp_can_audio esp_wechat ethernet接口 euhan_textile_university event ext_calculator ext_dds ext_dot ext_extend ext_osc ext_piano ext_sensor ext_wifimodule extmodule16 extractlibfromopenproject fifo filefolderofkicad6 fingerprint_sensor_projects flip-flops footprint for force forcesensor forever fork foushan_university fpga万人大赛 fpga三周实训 fpga内部ram fpga大赛临时页面 fpga快速入门 fpga时钟处理 fpga的配置 fpga简易项目 fpga管脚 fpga继续学习 fpga编程一周速成 fpga编程3天速成 fpga编程_pcb设计三周实训 fpga项目_使用arduino玩转fpga fpga项目_接口 fpga项目_扩展模块 fpga-start fpga fpga4contest fpga4fun_advance fpga4fun_basic fpga4fun_interface fpga4students上用verilog写的项目 fpga4students上用vhdl写的项目 fpga4students上fpga相关的项目 fpga16_instrument fpga_application fpga_arduino_8051 fpga_basic_experiment_learning fpga_basic_theory_learning fpga_competition fpga_contest fpga_cores fpga_design_tool fpga_hardware fpga_instru_adaptor fpga_maxafe fpga_pcb_welding fpga_piano fpga_programming_and_pcb_welding fpga_project_advanced fpga_project_basic fpga_project_medium fpga_quad_decoder fpga_risc-v fpga_sdram fpga_soft_core fpga_start fpgadesigntools fpgaresource fr4 frequency_char fuction fudan_university fujian fujian_normal_university fujian_university_of_technology function fuyang_normal_university fuzhou_university game_robots gannan_normal_university gansu gansu_agricultural_university gansu_university_political_science_and_law gasconcentrationsensor gasflowratesensor gasliguidpresssensor gates.v gates_tb.v gebergen4kicad6 generate genvar gerber gnss导航技术 goldfingers gpio gps gps_projects graduate_project_ref graduateadmissions graduateentranceexamlist graduation_design graplcd_verilog gsm_projects gsmprojects guangdong guangdong_polytechnic_normal_university guangdong_university_of_foreign_studies guangdong_university_of_technology guangxi guangxi_minzu_university guangxi_normal_university guangxi_university guangxi_university_of_finance_and_economic guangxi_university_of_science_technology guangzhou guangzhou_university guilin_university_of_electronic_technology guilin_university_of_technology guiyang_university guizhou guizhou_minzu_university guizhou_normal_university guizhou_university guizhou_university_of_finance_and_economic gyroscope hainan hainan_normal_university handheldembedded handsontraining hangzhou_dianzi_university hangzhou_normal_university hanjiang_university hannan_university harbin_engineering_university harbin_institute_university harbin_normal_university harbin_university_of_commerce harbin_university_of_science_technology harvard结构 hdmi接口引脚定义 hdmi接口 hdtv heartbeat_sensor_projects heartratemonitors heartratesensor hebei hebei_geo_university hebei_normal_university hebei_normal_university_of_science_technology hebei_university hebei_university_of_engineering hebei_university_of_science_and_technology hebei_university_of_technology hefei_normal_university hefei_university hefei_university_of_technology hehai_university heibei_university_of_agriculture heilongjiang heilongjiang_bayi_agricultural_university heilongjiang_university heilongjiang_university_of_science_technology henan henan_normal_university henan_polytechnic_university henan_university henan_university_of_science_technology henan_university_of_technology hengyang_normal_university hexapod_robot_li highz0 highz1 hls_viterbi_解码器 homeautoprojects how2fabpcb how2installkicad6onmack how2installkicad6onwindows how2installkicadonrpi how2use3dviewer how2usegerberview howtocreatsymbol4kicad6 howtouse_micropython howtousewiki huaibei_normal_university huanggang_normal_university huaqiao_university huazhong_agricultural_university huazhong_university_of_science_and_technology hubei hubei_minzu_university hubei_normal_university hubei_university hubei_university_of_automatic_technology hubei_university_of_technology humidity_sensor humidity_sensor_projects hunan hunan_agricultural_university hunan_institute_of_engineering hunan_institute_of_science_technology hunan_normal_university hunan_university hunan_university_of_chinese_medicine hunan_university_of_science_technology hunan_university_of_technology hunan_university_of_technology_and_business huzho_university hwhelper hwopensource i2c接口 i2c i2c_master_core i2c_sp i2s i2s_sp if importfromkicad5 include ind_sp inductivesensors industrial_application_projects infrared_pose_sensor infrared_sensor_projects initial inner_mongolia_agricultural_university inner_mongolia_normal_university inner_mongolia_university inner_mongolia_university_for_the_nationalities inner_mongolia_university_of_finance_economic inner_mongolia_university_of_science_technology inner_mongolia_university_of_technology inout input instance institute_of_microelectronics_of_the_chinese_academy_of_science institute_of_scientific_and_technological_information_of_china instru_protocol instrumentation_projects integer internal iot_projects iotprojects ipapp1 ipapp2 ipapp3 ipapp4 ipapp5 ipapp6 ipapp8_la ipconre_fpga4students ipcore_altera ipcore_fpga4fun ipcore_lattice ipcore_opencores ipcore_stepfpga ipcores4fpga ir_remote irda技术 irtempsensor jack接口引脚定义 jiamusi_university jiangnan_university jiangsu jiangsu_normal_university jiangsu_ocean_university jiangsu_university jiangsu_university_of_science_and_techonology jiangsu_university_of_technology jiangxi jiangxi_normal_university jiangxi_science_technology_normal_university jiangxi_university_of_science_and_technology jilin jilin_agricultural_university jilin_institute_of_chemical_technology jilin_jianzhu_university jilin_normal_university jilin_university jilin_university_of_finance_and_economic jimei_university jinan_university jishou_university jk_flipflop join joystick jtag接口 jtag jtag_verilog kashi_university keypointsofkicad6sch kicad使用指南 kicad原理图库构建 kicad原理图绘制 kicad封装库构建 kicad电路板布局布线 kicad简介 kicad设计流程 kicad kicad6annote kicad6autooutput2jlc kicad6autorouter kicad6bgafanout kicad6bulkchangeproperties kicad6buzzard kicad6castle kicad6config3d kicad6copperfill kicad6copypaste kicad6creatfpwizard kicad6cvnewpart kicad6cvpcb kicad6cvpcb2 kicad6databus kicad6designprocess kicad6diffroute kicad6drc1 kicad6drset kicad6edgecut kicad6editormanual kicad6erc kicad6erc2 kicad6export3d kicad6hotkey kicad6install3d kicad6lable kicad6layoutrule kicad6ledlayout kicad6libcontent kicad6libconvention kicad6makearray kicad6measure kicad6mh kicad6modifyfp kicad6modifysymbol kicad6newfp kicad6panel kicad6pcbconcept kicad6pcbeditor kicad6pluginui kicad6proconfig kicad6schfilearchive kicad6schgrid kicad6schwire kicad6selfdefinepad kicad6spice kicad6teardrop kicad6tp kicad6via kicad6wiredrag kicad63partylib kicad_book_c1 kicad_book_c2 kicad_book_c3 kicad_sp kicadhighlightcheck kicadkeepoutzone kicadlayers kicadplacement kicadschpagesetting kunming_university kunming_university_of_science_and_technology labviewprojects lanzhou_jiaotong_university lanzhou_university lanzhou_university_of_finance_and_economics lanzhou_university_of_technology large latches lattice_diamond的使用 lattice_semiconductor lcd显示控制 lcd显示模块 lcd240_240disp lcd1602 ldo learndigitallogic learndigitalsystem learningplatform learntobuilddds learntodesignpcb learntodesignpcb0 learntodesignpcb1 learntodesignpcb2 learntodesignpcb3 learntodesignpcb4 learntodesignpcb5 learntodesignpcb6 learntodesignpcb7 learntodesignpcb8 learntodesignpcb9 learntodesignpcb10 learntodesignpcb11 learntodesignpcb12 learntodesignpcb14 learntodesignpcb15 learntodesignpcb16 learntodesignpcb17 learntodesignpcb20 learntodesignpcb21 learntodesignpcb27 learntodesignpcb100 learntosimulatecircuit learntosolderpcb learntousekicad led显示 led led_articles led_display_verilog ledprojects level liaocheng_university liaoning liaoning_normal_university liaoning_petrochemical_university liaoning_university liaoning_university_of_techonology library_opencore light light_power_control lightled_disp_verilog lightness.v linear_technology linearpositionsensor linearreg linkit_7687_hdk_开发板 linyi_university liquidflowratesensor liquidlevelsensor list_2023 liu1 liu2 liu3 liu4 liu5 liuday1 liuday2 liuday3 liuday4 liuday5 liudy1 liudy3 ll1 ll2 lm35 lm317 lm7805 ln_techonology_university loadpartsfromkicad6 localparam logic_analyzer lora lora_协议 lpc54xxxapp lpc55_micropython_dev lpc824_core16 lpg_gas_sensor_projects ls_awg2040 ls_instru_f072 ls_instru_g491 ls_instru_lpc845 ls_la2040 lt1117 ludong_university m2_iot m2k_awg_design m2k_calibmemory_design m2k_design m2k_digitalio_design m2k_features m2k_fpga_design m2k_powersupply_design m2k_usb_design m2000_instru macromodule magnetometer make_pcb_with_kicad makekicad6fp matlabprojects max10m02 max10m08 max232 max1000 mc8051软核 mc34063 mcu mcu_development mcu_mpu mcu_training_kit mcuduino mcuminiprojects mechswitchs medical_electronics_projects medium mem memory_opencore mico8单片机 mico8在lattice_mxo2_fpga上的实现 mico32处理器 microcontroller microgui_dds microphone micropython midi引脚定义 midi_游戏接口引脚定义 mikrobus_vmeter military_applications mingnan_normal_university mini_awg mini_instru mini_scope mini_scope_diy mini_scope_diy_v2 minieeprojects miniideas miniprojectcircuits miniprojects minzu_university_of_china miscellaneous mobile_controlled modify module module_codec_up5k_max19713 modules moisture more_about_the_arduino_ide mosfet motor motor_control mountinghole mp_adc mp_asyncio mp_audio mp_burglar_alarm mp_buzz mp_data_logger mp_dc_motor mp_dds mp_hsadc mp_joystick mp_key_led mp_midi_player mp_mma7660 mp_oled mp_physical_computing mp_pio mp_pwm_led mp_quick_reference mp_resp_game mp_rotary_encoder mp_rp2040_dma mp_sdcard mp_serial_bus mp_servo mp_spi_lcd mp_spi_st7735s mp_spi_st7789f mp_temp_gauge mp_temp_uart mp_traffic_light mp_ultrasonic mp_ws2812 mp_ws2812b mpu6050 msp430fr4133_launchpad_开发套件 mspm0_oek mudanjiang_normal_university multimeter multiplexer nanchang_hangkong_university nanchang_institute_of_technology nanchang_university nand nanjing_agricultural_university nanjing_forestry_university nanjing_normal_university nanjing_university nanjing_university_of_aeronautics_and_astronautics nanjing_university_of_finance_and_economics nanjing_university_of_information_science_techonology nanjing_university_of_posts_and_telecommunications nanjing_university_of_science_and_technology nanjing_university_of_technology nankai_university nantong_university national_university_of_defense_technology nationalcontestpartlist naval_university_of_engineering navbar nb_iot技术 nbiot ndt negedge neimenggu netconnection netslabelsuseinkicad6sch newideas nfc技术 ningbo_university ningxia ningxia_normal_university ningxia_university ninjin_institute_of_technology nios_ii介绍及资料 nmos nmpsm3软处理器 nor north_china_electric_power_university north_china_institute_of_aerospace_engineering north_china_university_of_electric_power north_china_university_of_science_technology north_china_university_of_technology north_china_university_of_water_resource_and_electric_power north_university_of_china northeast_agricultural_university northeast_electric_power_university northeast_forestry_university northeast_normal_university northeast_petroleum_university northeastern_university northwest_a_f_university northwest_minzu_university northwest_normal_university northwest_polytechnic_university northwest_university northwest_university_of_political_science_and_law not notif0 notif1 ntcthermistor nucleo_l073rz nuedc_sp nuedcmoore8 nuedcplatform nuedcprojects nxp_mcu obd-ii车用连接器引脚定义 obd objectpresencesensor oc8051软核 ocean_university_of_china oled12864_ssd1315 oled12864_verilog oled_spi_verilog on_semiconductor openfpgaplatform openinstru_protocol or osc_sp oscillators_timers oscilloscope other_opencore output pad pads page_dagui.zhang page_gongyu.su page_hankun.zhang page_haoqian.li page_haozhuo.gao page_jiahao.li page_jin.liu page_jiwei.ren page_kaili.lu page_lingxiao.zeng page_liumin page_meiqing page_panlei page_shuai.liu page_shuping.wang page_tongcheng page_wuchao page_wujian page_xiaolei.du page_xuhao page_yongyuan.zhang page_yue.zhang page_yunfeng.shi page_yuxuan.li page_zhenbang.liu page_zhenbang.liu_thinking page_ziyu.guo parameter partsinlab pastestencil pbl2021summer pbl2022winter pbl2023winter pc_molex_莫仕_接口引脚定义 pc_related pcb修改 pcb完成 pcb封装库 pcb布局中的操作技巧 pcb布局的规则及要点 pcb布线的规则和要点 pcb焊接调试与fpga编程 pcb焊接 pcb设计工具kicad pcb设计流程及规范 pcb设计第一课 pcb设计 pcb pcb_design pcb_design_modify pcb_footprint_design pcb_learning pcba pcbarticles pcbdesignsummary pcbdesigntool pcbdesigntools pcblayer pcbnewwire pcbpanel pcbwithkicad6 pci接口 pci_express接口 pd_power pdmi引脚定义 peking_university peripheral_interface peripheral_interface_learning personal_information photodiode photoresistor phototransistor phs个人数字无线 pi_m2k pic单片机相关的项目 pic pic_icsp_avr_isp pic_microcontroller_projects pickandplace pico_ext pico_learning_kit pico_micropython pico_spec picprojects pir pir_sensor play_fpga_like_arduino play_fpga_like_arduino_step_test_app pldfpga pll pmofkicad6 pmos pocket_instrument pocketinstrument portable_power posedge potentiometer power power_integrations power_saver powersupply pre pressure_sensor_system pressuresensors primitive processor_opencore producttemplate programming_fpga_w_verilog project project_day3_zlx project_day4_zlx project_day5_zlx project_day6_zlx project_day7_zlx project_day8_zlx project_day9_zlx project_day14_zlx project_design project_design_presentation_and_modify project_ledmatrix projects_nandland projects_verilog prototype_board_opencore proximitysensor ps2键盘模块 ps_2-at接口引脚定义 ps_design_case ps_variable ptcthermistor pull0 pull1 pulldown pullup pulse_gen.v pulse_oximeter_sensor pushbutton pwm和1位dac pwm pwm_awg pwm_dc pwm_music_verilog pwm_verilog pynq pynq_sp python qilu_university_of_technology qingdao_agricultural_university qingdao_technology_university qingdao_university qingdao_university_of_science_and_technology qinghai qinghai_nationalities_university qinghai_normal_university qinghai_university qiqihar_university qorvo quadraturedecoder_verilog quartus安装及配置 quartus_prime的使用 quartus_prime qufu_normal_university r-2r r_c伺服电机 rasp_hat_linux raspberry_pi_projects rc_servos rca接口引脚定义 rcmos real realtime ref_schematics refhelpofkicad6 reg reindeer_step_arduino reindeer_step_arduino_app1 reindeer_step_make release renmin_university_of_china repeat res_sp rf_circuits rf_remote rfid_projects rfidprojects rgb_light_verilog risc-v_in_china risc risc_v risc_v_tech riscv_sp rndskill rnmos robohatmm1 robotic robots_robotics_projects rom ros_sp rotary_encoder rotaryencoder rotarypositionsensor rp2040 rp2040_ice40_max19713 rp2040_max19713 rp2040gamekit rp_web_scope rpi rpi_instru rpi_pico rpi_sp rpmos rs-232接口 rs-232 rtd rtran rtranif0 rtranif1 rv_up5k rv_xo3d s端子接口引脚定义 scalared scart接口引脚定义 science scope_pics scope_sp scope_verilog scopeafe sd_card接口 sd_card sdram控制器 security_systems semi_ecosystem semitempsensor sensirion sensor sensorlist sensorprojects sensors_detectors serial_bus serial_detect.v serial_detect_test.v setupkicad6trackwidth shaanxi shaanxi_normal_university shaanxi_university_of_technology shandong shandong_agricultural_university shandong_jiaotong_university shandong_normal_university shandong_university shandong_university_of_finance_economic shandong_university_of_science_and_technology shandong_university_of_technology shangdong_jianzhu_university shanghai shanghai_dianji_university shanghai_electric_power_university shanghai_international_studies_university shanghai_jiaotong_university shanghai_maritime_university shanghai_normal_university shanghai_ocean_university shanghai_second_polytechnic_university shanghai_university shanghai_university_of_engineering_science shanghai_university_of_finance_and_economics shanghai_university_of_technology shanghaitech_universit shangyang_pharmaceutical_university shanxi shanxi_agricultural_university shanxi_normal_university shanxi_university shanxi_university_of_finance_and_economic shanxi_university_of_science_technology shanytou_university shaoyang_university shenyang_agriculture_university shenyang_jianzhu_university shenyang_ligong_university shenyang_normal_university shenyang_university shenyang_university_of_chemical_techonology shenyang_university_of_techonology shenzhen_technology_university shenzhen_university shihezi_university shijiazhuang_tiedao_university sichuan sichuan_agricultural_university sichuan_normal_university sichuan_university sichuan_university_of_foreign_chinese sichuan_university_of_science_technology sichuang sichuang_university sichuanu_project sid sidebar sigfox siggen sigma_delta_adc sigma_delta_modulator signed silkscreen simple_dds simple_dds_r2r simple_multimeter simutool sine_tables skyworks_solutions small smartcar_contest smartcar_contest_sp smd封装尺寸 smd smoke_and_air_quality_sensors smoke_sensor_projects sms_based snow_flake solarprojects soldermask solderpaste soochow_university south_central_university_of_nationalities south_china_agricultural_university south_china_agriculture_university south_china_normal_university south_china_university_of_technology southeast_university southern_medical_university southern_university_of_science_and_technology southwest_forestry_university southwest_jiaotong_university southwest_minzu_university southwest_petroleum_university southwest_university_of_political_science_and_law southwest_university_of_science_technology southwestern_university_of_finance_and_economics specify specparam spi接口 spi spi_master spi_sp spi_verilog spoc_cpu软核 spreadtrum_analyzer sr_flip-flop ssd1306 ssd1306_verilog st_nucleo-f746zg start startkicad6schentry stc15_core16 step网站结构 step-baseboard step-baseboard_v2.2 step-max10入门教程 step-max10 step-mxo2入门教程 step-mxo2外设驱动 step-mxo2第一代 step-mxo2第二代 step-mxo2项目实战 step-mxo2-c step-mxo2 step-mxo2_实验一_基本逻辑门 step-mxo2_实验三_数码管显示 step-mxo2_实验二_基本组合逻辑电路 step_module step_wifi stepawg stepcontrollercenter stepcyc10 stepfpga stepfpga4contest stepfpga_digitallabs stepfpga_scope stepfpga_sp stepfpga_training_board stepfpgaboard stepfpgadds20k steplink stepmxo2-lab1 stepmxo2-lab2 stepmxo2-lab3 stepmxo2-lab4 stepmxo2-lab5 stepmxo2-lab6 stepmxo2-lab7 stepmxo2-lab8 stepmxo2-lab9 stepmxo2-lab10 stepmxo2-lab11 stepmxo2-lab12 stepmxo2-lab13 stepmxo2-lab14 stepmxo2-lab15 stepmxo2-lab16 stepmxo2-lab17 stepmxo2-lab18 stepmxo2-lab19 stepmxo2-lab20 stepmxo2-lab21 stepmxo2-lab22 steptrainingboardddsacode stm8a-discovery开发套件 stm32g0_core stm32g0_up5k stm32l152开发板 stm32100e-eval_stm32100 strong0 strong1 sun_yat-sen_university supply0 supply1 suzhou_university suzhou_university_of_science_and_techonology switchingreg syntax system_controller_opencore system_on_chip_opencore system_on_module_opencore t1 t1_list t1_post t1_ppt t1_project t1_reference t2_list t2_project01 t2_project02 t2_project03 t2_project04 t_flip-flop table taiyaun_normal_university taiyuan_university_of_science_and_technology taiyuan_university_of_technology tarim_university task tcan190415 teachslist temp_sensor temp_sensor_verilog temperature_sensor_projects tempsensor test_measurement test_stick testing_verification_opencore texas_instruments_inc thermocouple thonny_ide thread tianjin tianjin_chenjian_university tianjin_gongye_university tianjin_normal_university tianjin_university tianjin_university_of_finance_and_economics tianjin_university_of_science_technology tianjin_university_of_technology tianjin_vocational_and_technical_normal_university tianshui_normal_university tiltsensor time tinyml tmarticles tmtool tongji_university top top190326 top190327 top190328 top190329 top190330 top190331 top190401 top190402 top190403 top190404 top190405 top190406 top190407 top190408 top190409 top190410 top190411 top190412 top190413 top190414 top190415 top190416 top190417 top190418 top190419 top190420 top190421 top190422 top190423 top190424 top190425 top190426 top190427 top190428 top190429 top190430 top190501 top190502 top190503 top190504 top190505 top190506 top190507 top190508 top190509 top190510 top190511 top190512 top190513 top190514 top190515 top190516 top190517 top190518 top190520 top190523 toplist touch_screen_projects touchsensor tplan1month tplan2month tplans tplans2019summer tplans201901 tproject1.1 tproject1.2 tproject1.3 tproject1.4 tr_what1 tr_what2 tr_what3 tr_what4 tr_what5 tr_what6 tr_what7 tr_what8 tr_what9 tr_what10 tr_what11 track traffic_led_verilog traffic_light_verilog training_contest training_summer2019 training_summer2019_1 training_summer2019_2 training_summer2019_class2 training_summer2019_image training_summer2019_scholarships training_sz1901 tran tranif0 tranif1 tri tri0 tri1 triand trior trireg tronicsbench tsinghau_university tstudents190415 uart串口模块 uart uart_serial_port_module uart_sp uart_verilog uhd ultrasonic_sensor ultrasonic_sensor_based_projects uncategorized_opencore unity基础 university_of_chinese_academy_of_social_sciences university_of_chinses_academy_of_science university_of_electric_technology_and_science_of_china university_of_international_business_and_economics university_of_jinan university_of_science_and_technology_beijing university_of_science_and_technology_liaoning university_of_science_and_technology_of_china university_of_shanghai_for_science_and_technology university_of_south_china unsigned up5k_afe up5k_scope usb接口引脚定义 usb usb_power_delivery usb_sp uwb技术 vectored vendor_list verilog基础 verilog未完成 verilog语法快速参考 verilog语法结构 verilog verilog_debounce verilog_rotary_encoder verilogexamples verilogopensource vesa连接器引脚定义 vga接口引脚定义 vga显示模块 vga_verilog via vibration vibrationsensor video_controller_opencore video_verilog vivado_design_suite vlsiprojects voice_controlled_projects voltage_meter_sadc_verilog voltagesensor von_neumann结构 wait wand water_led.v water_led_test.v waterfall_light weak0 weak1 week1 week2 week2_day1 week2_day2 week2_day3 week2_day4 week2_day5 week2_day6 week3 week3_day1 week3_day2 week3_day3 week3_day4 week3_day5 week4 week4_day1 week4_day2 week4_day3 week4_day4 week4_day5 week4_day6 week4_day7 week5_day3 week5_day15 weight weixin-board welcome wenzhou_university wfldataprotocol what_is_fpga whatis_micropython whatiscan whatiscap whatisdiode whatisfb whatisi2c whatisigbt whatisinductor whatiskicad whatisled whatismotor whatisopamp whatispcb whatisrect whatisresistor whatissensor whatisserialbus whatissic whatisspi whatisspice whatistransistor whatisuart whatiswirelesscharging whatkicad6consist while wi-fi技术 wifi6 wifi_esp8266通信系统设计 wikipedia上关于i2c的介绍 wikipedia上关于jtag的定义 wire wire_reg wireless_comm wireless_communication_projects wirelessprojects wor wuhan_institute_of_technology wuhan_polytechnic_university wuhan_university wuhan_university_of_science_technology wuhan_university_of_technology wuyi_university xi_an_international_studies_university xi_an_jiaotong_university xi_an_polytechnic_university xi_an_shiyou_university xi_an_technological_university xi_an_university_of_architecture_technology xi_an_university_of_finance_and_conomics xi_an_university_of_posts_telecommunications xi_an_university_of_science_technology xi_an_university_of_university xialmen_university_of_technology xiamen_university xiang_tan_university xidian_university xihua_university xijing_university xilinx_ise xinan_university xinjiang xinjiang_agricultural_university xinjiang_normal_university xinjiang_university xinjiang_university_of_finance_economic xinyang_normal_university xizang xizang_minzu_university xizang_nongmu_university xizang_university xlp及dmx接口引脚定义 xnor xo2-1200hc xo2-4000hcf xo2-4000hcu xo2_core16 xor yanan_university yanbian_university yangtez_university yangzhou_university yanshan_university yantai_university yh001 yili_normal_university yinghe_day1_ziyu yinghe_day2_ziyu yinghe_day3_ziyu yinghe_day4_ziyu yinghe_day5_ziyu yinghe_day8_ziyu yinghe_day21_ziyu yinghe_day25_ziyu yinghe_day26_ziyu yunnan yunnan_agricultural_university yunnan_minzu_university yunnan_normal_university yunnan_university yunnan_university_of_finance_and_economics zhejiang zhejiang_a_f_university zhejiang_gongshang_university zhejiang_normal_university zhejiang_ocean_university zhejiang_sci-tech_university zhejiang_university zhejiang_university_of_finance_economic zhejiang_university_of_science_technology zhejiang_university_of_technology zhengzhou_university zhengzhou_university_of_light_industry zhkday1 zhkec1 zhkec2 zhkec3 zhkfpga zhkproj2 zhksresume zhongnan_university_of_economic_and_law zhongnan_university_of_economics_and_law zigbee技术 zigbee zigbee_projects zigbeeprojects zlx