搜索

下面将显示您的搜索结果。

fpga: 147 符合
#### 可编程逻辑器件 - CPLD/FPGA --- {{ :fpgablock.png?800 |}} FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进... 语言([[Verilog]]或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至[[FPGA]]上进行测试,是现代IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的[[FPGA]]里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。
top190507: 147 符合
推荐:可编程逻辑器件FPGA资源大全 --- {{ :fpgablock.png?800 |}} FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、G... 语言([[Verilog]]或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至[[FPGA]]上进行测试,是现代IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的[[FPGA]]里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。... ut Output Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构
fpga4students上fpga相关的项目: 81 符合
===== fpga4students上fpga相关的项目 ===== 1. [[https://www.fpga4student.com/2016/12/what-is-fpga-five-reasons-why-i-love-fpga.html|什么是FPGA?FPGA如何工作?]] 2. [[https://www.fpga4student.com/2018/08/basys-
大学生电子设计竞赛: 78 符合
大的竞赛类别中除了“电源”、“放大器”、“高频无线电”三个类别之外,其它4个大类的题目都可以用到[[FPGA]]。2017年大赛仪器和主要元器件清单中明确指出了使用FPGA开发系统和可编程逻辑器件及其下载板。... 丫以及这个页面中我们为您准备好的一切,你会发现你真的是个幸运儿。\\ ===== 小脚丫STEP FPGA ===== 小脚丫系列FPGA核心板卡均采用小巧的DIP40封装并在板上集成了下载器,一根人人都有... ,可以选用我们基于Altera [[https://www.altera.com/products/fpga/max-series/max-10/overview.html|MAX10]]系列FPGA的STE... [[https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html|Quartus]]; *
电赛临时页面: 78 符合
大的竞赛类别中除了“电源”、“放大器”、“高频无线电”三个类别之外,其它4个大类的题目都可以用到[[FPGA]]。2017年大赛仪器和主要元器件清单中明确指出了使用FPGA开发系统和可编程逻辑器件及其下载板。... 丫以及这个页面中我们为您准备好的一切,你会发现你真的是个幸运儿。\\ ===== 小脚丫STEP FPGA ===== 小脚丫系列FPGA核心板卡均采用小巧的DIP40封装并在板上集成了下载器,一根人人都有... ,可以选用我们基于Altera [[https://www.altera.com/products/fpga/max-series/max-10/overview.html|MAX10]]系列FPGA的STE... [[https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html|Quartus]]; *
competition: 78 符合
大的竞赛类别中除了“电源”、“放大器”、“高频无线电”三个类别之外,其它4个大类的题目都可以用到[[FPGA]]。2017年大赛仪器和主要元器件清单中明确指出了使用FPGA开发系统和可编程逻辑器件及其下载板。... 丫以及这个页面中我们为您准备好的一切,你会发现你真的是个幸运儿。\\ ===== 小脚丫STEP FPGA ===== 小脚丫系列FPGA核心板卡均采用小巧的DIP40封装并在板上集成了下载器,一根人人都有... ,可以选用我们基于Altera [[https://www.altera.com/products/fpga/max-series/max-10/overview.html|MAX10]]系列FPGA的STE... [[https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html|Quartus]]; *
fpga_start: 71 符合
[[FPGA]]就是一个神器,貌似在数字世界里无所不能,它就像乐高的积木一样可以搭建各种不同的功能模块,实现你所希望的各种功能,当然,首先你必须掌握最基本的数字逻辑知识,学会一种用来构建各种功能的工具语言(在... 书,同学们可以下载了进行阅读(在无法上网和流量将用尽的时候最好、最让自己不内疚的消遣方式) {{ :fpga_for_dummies.jpg?100|}} {{ :introduction_to_digit... }} * 傻瓜都能掌握的FPGA知识: {{:fpgasfordummiesebook.pdf|FPGA for Dummies}} * 美国大学的数字电路/Verilog教程(基于Digilent的... pdf|Introduction to Digital Design Using Digilent FPGA Boards}} 同时建议大家能够大量阅读[[https://en.wikipedia.org/
fpga_hardware: 67 符合
AM Now there are two types of internal RAMs in an FPGA: blockrams and distributed RAMs. The size of the ... rams, which are located in dedicated areas in the FPGA. Each FPGA has a limited number of these, and if you don't use them, you "loose" them (they cannot be u... linx does that). Distributed RAM allows using the FPGA logic-cells as tiny RAMs which provides a very fl
analog_discovery_2_参考手册: 60 符合
[[http://www.xilinx.com/products/silicon-devices/fpga/spartan-6/index.htm|Spartan®-6]] FPGA (specifically, the XC6SLX16-1L device). The WaveForms application automatically programs the Discovery’s FPGA at start-up with a configuration file designed to... and measurement instrument. Once programmed, the FPGA inside the Discovery communicates with the PC-bas
what_is_fpga: 57 符合
[[FPGA]]是一种可编程的数字逻辑芯片,我们可以通过对其编程实现几乎任何的数字功能。可以说在数字世界里它无所不能,就像乐高的积木一样可以搭建各种不同的功能模块,实现你所希望的各种功能,当然,首先你必须掌握... 书,同学们可以下载了进行阅读(在无法上网和流量将用尽的时候最好、最让自己不内疚的消遣方式) {{ :fpga_for_dummies.jpg?100|}} {{ :introduction_to_digit... }} * 傻瓜都能掌握的FPGA知识: {{:fpgasfordummiesebook.pdf|FPGA for Dummies}} * 美国大学的数字电路/Verilog教程(基于Digilent的... pdf|Introduction to Digital Design Using Digilent FPGA Boards}} 同时建议大家能够大量阅读[[https://en.wikipedia.org/
fpga_arduino_8051: 52 符合
pulserain.com/fp51|FP51-1T MCU Core: A Mustang in FPGA]] \\ PulseRain推出的M10开发板使用Arduino开发详细介绍☞[[https://www.pulserain.com/m10|PulseRain M10: Play FPGA like Arduino]] \\ \\ 现在FP51-1T已经成功移植到STEP-MAX10开发... FPGA开发板来体验一下这个神奇的操作吧! #### 使用Arduino开发STEP-MAX10 FPGA ##### 准备工作 * 小脚丫FPGA开发板 STEP-MAX10 08系列 * In... 经开源在Github上,你可用如下的命令得到代码 \\ **git clone -b step_fpga https://github.com/PulseRain/Mustang.git step_fpg
fpga项目_使用arduino玩转fpga: 52 符合
pulserain.com/fp51|FP51-1T MCU Core: A Mustang in FPGA]] \\ PulseRain推出的M10开发板使用Arduino开发详细介绍☞[[https://www.pulserain.com/m10|PulseRain M10: Play FPGA like Arduino]] \\ \\ 现在FP51-1T已经成功移植到STEP-MAX10开发... FPGA开发板来体验一下这个神奇的操作吧! =====使用Arduino开发STEP-MAX10 FPGA===== ====准备工作==== * 小脚丫FPGA开发板 STEP-MAX10 08系列 ... 经开源在Github上,你可用如下的命令得到代码 \\ **git clone -b step_fpga https://github.com/PulseRain/Mustang.git step_fpg
fpga4contest: 44 符合
\\ #### 仪器仪表/测试测量题目 ----- ##### 信号源: - 系统构成:[[FPGA]] + [[DAC]] + [模拟滤波器](https://en.wikipedia.org/wi... a.org/wiki/Comparator_applications) + [[ADC]] + [[FPGA]] + 按键 + 显示(参数) - 应用,可以测量以下参数: - 元器件参数 - 电阻、电容、... ##### 信号特征测量 - 系统构成:电信号放大器/滤波器/比较器 + [[ADC]] + [[FPGA]] + 按键 + 显示(参数或波形),如配上[[DAC]]可以发送信号,且收、发可以进行相关处理 ... 数字系统处理(信号处理)类题目 ----- ##### 系统构成 - [[ADC]] + [[FPGA]] + 按键 + 显示 + [[DAC]],[[FPGA]]也可以配制成[[8051]]、Arm、
vlsiprojects: 43 符合
* [[http://www.ijareeie.com/upload/2015/june/23_FPGA.pdf|基于FPGA的ECG信号噪声抑制]]:该项目旨在通过使用两个中值滤波器来抑制ECG信号中的
stepfpga: 42 符合
fpga_competition: 39 符合
stepfpga4contest: 38 符合
top190410: 37 符合
top190518: 36 符合
fpga大赛临时页面: 36 符合
tproject1.2: 36 符合
fpga_contest: 36 符合
fpga万人大赛: 36 符合
top190523: 35 符合
tproject1.4: 35 符合
fpga的配置: 34 符合
start: 33 符合
dds_verilog: 29 符合
电赛临时页面2: 27 符合
stepfpgaboard: 26 符合
top190420: 25 符合
fpgaresource: 25 符合
tproject1.3: 25 符合
top190520: 24 符合
top190501: 24 符合
adamtaylorfpga: 24 符合
electronics_design_contest: 23 符合
top190406: 23 符合
top190418: 22 符合
prototype_board_opencore: 22 符合
hwopensource: 21 符合
tplan2month: 21 符合
reindeer_step_arduino: 20 符合
dds_xo2r2r: 20 符合
fpga_risc-v: 20 符合
altera_corp: 19 符合
top190502: 19 符合
top190414: 19 符合
m2k_features: 19 符合
verilogopensource: 19 符合
fpga4students上用verilog写的项目: 19 符合
2019.4.17day3项目整体设计以及查找资料: 19 符合
t2_project02: 19 符合
tplans201901: 18 符合
reindeer_step_make: 17 符合
lcd显示控制: 17 符合
jtag: 17 符合
awg_sp: 16 符合
m2k_design: 16 符合
siggen: 16 符合
top190506: 16 符合
adc_for_rpi_scope: 16 符合
yinghe_day3_ziyu: 16 符合
wiki:fpga设计: 16 符合
fpga4students上用vhdl写的项目: 16 符合
基于树莓派的双通道高速adc的设计: 16 符合
step-mxo2-c: 15 符合
xo2-4000hcu: 15 符合
stepfpga_training_board: 15 符合
小脚丫step-baseboard实验平台硬件说明: 15 符合
top190411: 15 符合
programming_fpga_w_verilog: 15 符合
lightled_disp_verilog: 15 符合
1._点亮led: 15 符合
pwm_verilog: 15 符合
article_wechat_sk: 15 符合
article_wechat_gongyu: 15 符合
altera_1led: 15 符合
spi_verilog: 15 符合
fpgadesigntools: 14 符合
m2k_powersupply_design: 14 符合
wifi_esp8266通信系统设计: 14 符合
stepfpga_sp: 14 符合
ext_calculator: 14 符合
xo2_core16: 14 符合
tplans: 13 符合
fpga时钟处理: 13 符合
book_excise_audio_if: 13 符合
ipcore_stepfpga: 13 符合
step网站结构: 13 符合
communicationcontroller_opencore: 12 符合
toplist: 12 符合
ecbc: 12 符合
nuedc_sp: 12 符合
ref_schematics: 12 符合
tplan1month: 11 符合
stepawg: 11 符合
life:study:201907:ai: 11 符合
stepfpga_scope: 11 符合
fpga编程3天速成: 11 符合
矩阵键盘键入系统设计: 11 符合
eesites: 11 符合
hls_viterbi_解码器: 11 符合
cyc10_soc: 11 符合
uart_verilog: 11 符合
cpld: 11 符合
handsontraining: 10 符合
旋转编码器控制: 10 符合
pwm: 10 符合
pbl2022winter: 10 符合
fpga管脚: 10 符合
top190408: 10 符合
boardlist202109: 10 符合
2017最强毕业生两月实训: 10 符合
硬件系统两月综合实战培训: 10 符合
adc: 9 符合
快速上手step-mxo2-c: 9 符合
docarchive: 9 符合
make_pcb_with_kicad: 9 符合
verilogexamples: 9 符合
entry_verilog: 9 符合
risc_v: 9 符合
contest_training_board: 9 符合
串口监视系统设计: 8 符合
book_excise_lcd_touch: 8 符合
hdmi接口: 8 符合
day_2: 8 符合
屏幕保护系统设计: 8 符合
乒乓球游戏: 8 符合
wikipedia上关于jtag的定义: 8 符合
list_2023: 8 符合
矩阵按键模块: 8 符合
learningplatform: 8 符合
扩展模块_计算器: 8 符合
eetree_class: 8 符合
fpga_design_tool: 8 符合
students:xuhao: 8 符合
students:peixun: 8 符合
stepmxo2-lab10: 8 符合
scope_verilog: 7 符合
top190331: 7 符合
m2k_fpga_design: 7 符合
cm4instru: 7 符合
verilog未完成: 7 符合
instru_protocol: 7 符合
点亮led灯: 7 符合
led_articles: 7 符合
spi: 7 符合
top190407: 7 符合
tmarticles: 7 符合
波形信号发生器设计: 7 符合
智能接近系统设计: 7 符合
spi_sp: 7 符合
t2_project04: 7 符合
几篇关于点亮led的文章: 7 符合
数字温湿度计设计: 7 符合
edufpga_20200113: 7 符合
stepfpgadds20k: 7 符合
top190510: 7 符合
dds_awg_open_platform: 7 符合
system_on_chip_opencore: 7 符合
digital_filter: 7 符合
7-seg_disp_verilog: 7 符合
简易电子琴设计: 7 符合
fpga16_instrument: 7 符合
uart_serial_port_module: 7 符合
ext_sensor: 7 符合
7段数码管: 7 符合
fpga_instru_adaptor: 7 符合
树莓派: 6 符合
lattice_diamond的使用: 6 符合
简易电压表设计: 6 符合
快速上手step-max10: 6 符合
top190517: 6 符合
ps2键盘模块: 6 符合
i2c: 6 符合
acl_hsadc: 6 符合
voltage_meter_sadc_verilog: 6 符合
top190511: 6 符合
training_contest: 6 符合
stepmxo2-lab17: 6 符合
basys3开发板: 6 符合
直流电压测量: 6 符合
page_shuping.wang: 6 符合
zhkproj2: 6 符合
navbar: 6 符合
pbl2021summer: 6 符合
book_excise_led: 6 符合
fpga内部ram: 6 符合
tproject1.1: 6 符合
internal: 6 符合
verilog_debounce: 6 符合
比赛计分系统设计: 6 符合
pynq: 5 符合
rndskill: 5 符合
xilinx_ise: 5 符合
fpga三周实训: 5 符合
fpga_project_basic: 5 符合
2017第一期fpga两周实训: 5 符合
max1000: 5 符合
sdram控制器: 5 符合
nationalcontestpartlist: 5 符合
simple_dds_r2r: 5 符合
fpga_soft_core: 5 符合
temp_sensor_verilog: 5 符合
2._rgb_led: 5 符合
simple_dds: 5 符合
t2_project03: 5 符合
5._时钟分频: 5 符合
fpga编程_pcb设计三周实训: 5 符合
page_yue.zhang: 5 符合
i2c_sp: 5 符合
时钟: 5 符合
electronics_design_contest_2023: 5 符合
top190404: 5 符合
pcb完成: 5 符合
nuedcplatform: 5 符合
quartus_prime的使用: 5 符合
音乐盒: 5 符合
ddsboard: 5 符合
altera_2rgbled: 5 符合
fpga_quad_decoder: 5 符合
top190403: 5 符合
altera_5clk: 5 符合
sd_card: 5 符合
ext_dot: 5 符合
nuedcprojects: 5 符合
dp-link: 5 符合
温度传感器模块: 5 符合
数码管模块: 5 符合
蜂鸣器模块: 5 符合
book_excise_vga_if: 5 符合
uart串口模块: 5 符合
step-mxo2_实验三_数码管显示: 5 符合
开发板1: 4 符合
page_hankun.zhang: 4 符合
ext_piano: 4 符合
max10m02: 4 符合
fpga-start: 4 符合
learntodesignpcb11: 4 符合
zhkfpga: 4 符合
xo2-4000hcf: 4 符合
buzzer: 4 符合
max10m08: 4 符合
lattice_semiconductor: 4 符合
yinghe_day26_ziyu: 4 符合
8051_sp: 4 符合
training_summer2019_2: 4 符合
扩展模块_无线测试: 4 符合
verilog_rotary_encoder: 4 符合
tplans2019summer: 4 符合
pcb焊接: 4 符合
pcb焊接调试与fpga编程: 4 符合
vga显示模块: 4 符合
文本lcd模块的控制: 4 符合
top190330: 4 符合
basys_3: 4 符合
fpga:xilinx:vivado:install: 4 符合
ext_dds: 4 符合
ext_osc: 4 符合
dac数模转换模块: 4 符合
ethernet接口: 4 符合
m2k_digitalio_design: 4 符合
altera_10tra: 4 符合
实验1-1_2输入基本门电路: 4 符合
crypto_opencore: 4 符合
cmos相机: 4 符合
nmpsm3软处理器: 4 符合
rp2040_ice40_max19713: 4 符合
实验1-2_多输入基本门电路: 4 符合
other_opencore: 4 符合
xo2-1200hc: 4 符合
实验3-1_译码器: 4 符合
book_excise_video_if: 4 符合
8051: 4 符合
pldfpga: 4 符合
altium_designer: 4 符合
数字示波器: 4 符合
ext_extend: 4 符合
learntodesignpcb100: 4 符合
旋转编码器模块: 4 符合
图片显示系统设计: 4 符合
welcome: 4 符合
旋转调节系统设计: 4 符合
ipapp1: 4 符合
adc数模转换模块: 4 符合
day_3: 4 符合
learndigitalsystem: 4 符合
t1_project: 3 符合
top190424: 3 符合
top190421: 3 符合
robotic: 3 符合
项目分配与初步确定: 3 符合
ext_wifimodule: 3 符合
homeautoprojects: 3 符合
ipconre_fpga4students: 3 符合
verilog: 3 符合
peripheral_interface: 3 符合
newideas: 3 符合
ipcore_fpga4fun: 3 符合
top190327: 3 符合
nuedcmoore8: 3 符合
扩展模块_电子琴: 3 符合
yinghe_day1_ziyu: 3 符合
top190505: 3 符合
step_module: 3 符合
step-baseboard_v2.2: 3 符合
实验1-3_卡诺图变换: 3 符合
step-mxo2_实验二_基本组合逻辑电路: 3 符合
steplink: 3 符合
learndigitallogic: 3 符合
learntobuilddds: 3 符合
step-mxo2: 3 符合
step-mxo2_实验一_基本逻辑门: 3 符合
pwm_awg: 3 符合
实验2-3_译码器: 3 符合
arithmetic_opencore: 3 符合
altera_4seg: 3 符合
跨越时钟域: 3 符合
altera_7deb: 3 符合
coremodule16: 3 符合
实验2-1_2选1选择器: 3 符合
实验2-2_4位比较器: 3 符合
温度计: 3 符合
数字万年历设计: 3 符合
r_c伺服电机: 3 符合
rc_servos: 3 符合
rotary_encoder: 3 符合
step-mxo2第一代: 3 符合
oscilloscope: 3 符合
mico32处理器: 3 符合
asic: 3 符合
流水灯: 3 符合
fpga编程一周速成: 3 符合
dcm: 3 符合
book_excise_serial_if: 3 符合
book_excise_sram_if: 3 符合
book_excise_nios: 3 符合
step_wifi: 3 符合
乘法器: 3 符合
vivado_design_suite: 3 符合
rpi: 3 符合
rs-232接口: 3 符合
4._数码管显示: 3 符合
stepmxo2-lab22: 3 符合
step-mxo2第二代: 3 符合
7._按键消抖: 3 符合
10._交通灯: 3 符合
stepmxo2-lab11: 3 符合
riscv_sp: 3 符合
项目总结: 3 符合
学习主板: 3 符合
nios_ii介绍及资料: 3 符合
核心板_altera_max08m08: 3 符合
核心板_altera_max08m02: 3 符合
page_zhenbang.liu_thinking: 3 符合
核心板_lattice_xo2: 3 符合
fpga_cores: 3 符合
stepmxo2-lab12: 3 符合
lcd显示模块: 3 符合
step-max10: 3 符合
引脚分频说明: 3 符合
常用电子元器件: 3 符合
序列检测器: 2 符合
altera_6led: 2 符合
按键消抖: 2 符合
stepmxo2-lab3: 2 符合
常见错误: 2 符合
最新培训: 2 符合
mico8单片机: 2 符合
近十年电子设计大赛题目: 2 符合
抽象级别: 2 符合
stepmxo2-lab6: 2 符合
扩展模块_led点阵: 2 符合
译码器: 2 符合
baseboard_arduino: 2 符合
altera_3ymq: 2 符合
stepmxo2-lab20: 2 符合
quartus安装及配置: 2 符合
stepmxo2-lab7: 2 符合
stepmxo2-lab9: 2 符合
stepmxo2-lab5: 2 符合
stepmxo2-lab2: 2 符合
stepmxo2-lab8: 2 符合
stepmxo2-lab19: 2 符合
quartus_prime: 2 符合
stepmxo2-lab21: 2 符合
stepmxo2-lab18: 2 符合
stepmxo2-lab4: 2 符合
training_summer2019_class2: 2 符合
pynq_sp: 2 符合
book_excise_uclinux: 2 符合
step-baseboard: 2 符合
book_excise_waveform_generator: 2 符合
mini_awg: 2 符合
3._3-8译码器: 2 符合
page_yuxuan.li: 2 符合
t1: 2 符合
top190515: 2 符合
ipapp3: 2 符合
week5_day15: 2 符合
learntodesignpcb2: 2 符合
fpga_sdram: 2 符合
digitallogic_verilog: 2 符合
6._led流水灯: 2 符合
usb_sp: 2 符合
sd_card接口: 2 符合
pci_express接口: 2 符合
cnc步进电机控制: 2 符合
pocketinstrument: 2 符合
system_controller_opencore: 2 符合
uncategorized_opencore: 2 符合
pci接口: 2 符合
multiplexer: 2 符合
uart: 2 符合
eetree_mini_program_resource: 2 符合
oled_spi_verilog: 2 符合
epp接口: 2 符合
steptrainingboardddsacode: 2 符合
week3_day3: 2 符合
stepmxo2-lab1: 2 符合
whatispcb: 2 符合
stepcyc10: 2 符合
2022camp: 2 符合
fpga4fun_basic: 2 符合
kicad: 2 符合
2019.4.23day2方案器件更改及绘出pcb原理图: 2 符合
solarprojects: 2 符合
dtmfprojects: 2 符合
embeddedprojects: 2 符合
top190426: 2 符合
ipcores4fpga: 2 符合
labviewprojects: 2 符合
m2k_usb_design: 2 符合
扩展模块_传感器: 2 符合
yinghe_day2_ziyu: 2 符合
day2_-_2019.4.16: 2 符合
ir_remote: 2 符合
m2k_awg_design: 1 符合
traffic_light_verilog: 1 符合
debug_tool: 1 符合
pwm_dc: 1 符合
projects_verilog: 1 符合
m2000_instru: 1 符合
rpi_instru: 1 符合
mp_key_led: 1 符合
step-mxo2项目实战: 1 符合
step-max10入门教程: 1 符合
diamond: 1 符合
呼吸灯: 1 符合
digital_frequency_meter: 1 符合
acdlearning: 1 符合
stepcontrollercenter: 1 符合
spoc_cpu软核: 1 符合
binary_to_bcd: 1 符合
lcd240_240disp: 1 符合
learntodesignpcb21: 1 符合
软件安装及配置: 1 符合
up5k_scope: 1 符合
三色灯颜色和亮度控制: 1 符合
mcuduino: 1 符合
dragonboard_410c: 1 符合
digital_logic_tutorial: 1 符合
kicad6libconvention: 1 符合
debounce1.v: 1 符合
texas_instruments_inc: 1 符合
ds18b20: 1 符合
oled12864_verilog: 1 符合
fpga_maxafe: 1 符合
脉冲发生器: 1 符合
信号发生器: 1 符合
debounce.v: 1 符合
joystick: 1 符合
ssd1306_verilog: 1 符合
book_excise_pwm: 1 符合
esp32_ice40: 1 符合
fpga_piano: 1 符合
spi_master: 1 符合
book_excise_keyscan_7seg: 1 符合
gates.v: 1 符合
library_opencore: 1 符合
openfpgaplatform: 1 符合
gates_tb.v: 1 符合
book_excise_i2c_if: 1 符合
learntodesignpcb: 1 符合
jtag接口: 1 符合
fpga简易项目: 1 符合
page_ziyu.guo: 1 符合
vendor_list: 1 符合
baseboard_rpi: 1 符合
day_21: 1 符合
netconnection: 1 符合
page_jiahao.li: 1 符合
day_13: 1 符合
自己设计一款cpu: 1 符合
mc8051软核: 1 符合
适配板_树莓派: 1 符合
oc8051软核: 1 符合
mico8在lattice_mxo2_fpga上的实现: 1 符合
training_sz1901: 1 符合
page_kaili.lu: 1 符合
simutool: 1 符合
day_13_haoqian.li: 1 符合
project_day4_zlx: 1 符合
yinghe_day4_ziyu: 1 符合
ipcore_lattice: 1 符合
day2: 1 符合
day_3_haoqian.li: 1 符合
2019.4.16day2报告总结_学习课程以及diamond安装调试: 1 符合
project_day3_zlx: 1 符合
sensorlist: 1 符合
day_11_haoqian.li: 1 符合
day_15: 1 符合
page_lingxiao.zeng: 1 符合
fpga_basic_experiment_learning: 1 符合
project_day14_zlx: 1 符合
day_12_haoqian.li: 1 符合
fpga_basic_theory_learning: 1 符合
适配板_arduino: 1 符合
learntodesignpcb8: 1 符合
fpga项目_接口: 1 符合
top190415: 1 符合
i2c接口: 1 符合
spi接口: 1 符合
i2c_master_core: 1 符合
led显示: 1 符合
play_fpga_like_arduino_step_test_app: 1 符合
verilog基础: 1 符合
video_verilog: 1 符合
processor_opencore: 1 符合
rv_xo3d: 1 符合
jtag_verilog: 1 符合
vga_verilog: 1 符合
图像化lcd屏: 1 符合
计数的艺术: 1 符合
module_codec_up5k_max19713: 1 符合
step-mxo2入门教程: 1 符合
fpga:xilinx:vivado:config:boards: 1 符合
learntodesignpcb1: 1 符合
learntodesignpcb0: 1 符合
fpga快速入门: 1 符合
page_panlei: 1 符合
learntodesignpcb7: 1 符合
page_jin.liu: 1 符合
stepmxo2-lab16: 1 符合
stepmxo2-lab15: 1 符合
篮球24秒计时器: 1 符合
fpga_project_medium: 1 符合
fpga_application: 1 符合
9._呼吸灯: 1 符合
stepmxo2-lab14: 1 符合
stepmxo2-lab13: 1 符合
altera_9breath: 1 符合