#### STEP FPGA平台 ---- {{ :stepfpgaapp.png |}} STEP小脚丫FPGA学习平台是苏州思得普信息科技公司专门针对[[FPGA]]初学者打造的一款性价比最高、学习门槛最低的学习模块系列。系列中所有板子的大小兼容标准的[[DIP40]]封装,尺寸只有52mmx18mm,非常便于携带;并通过MicroUSB端口进行供电和下载,板上选用的芯片兼具了FPGA和CPLD的优点,瞬时上电启动,无需外部重新配置FPGA,是学习数字逻辑绝佳的选择。而且能够直接插在面包板上或以模块的方式放置在其它电路板上以即插即用的方式,大大简化系统的设计。 \\ #### 小脚丫系列产品: * [[XO2-4000HCF|STEP-MXO2第二代]] 采用Lattice的MachXO2-4000器件,模块本身集成了编程器,不需要外置的编程模块就可以直接使用。另外该模块上增加了更多的输入和输出、LED、数码管显示灯,单个模块完全可以满足高校数字电路教学实验 * [[XO2-4000HCU|STEP-MXO2-C]] STEP团队专为“FPGA万人大赛”所打造的比赛平台,核心采用Lattice的MachXO2-4000器件,模块本身集成了MCU编程器,瞬间即可完成下载,不需要外置的编程模块就可以直接使用。另外该模块上增加了更多的输入和输出、LED、数码管显示灯,单个模块完全可以满足高校数字电路教学实验。 * [[MAX10M08|STEP-MAX10]] 采用Altera的MAX10系列芯片,模块本身集成编程器,外设资源与[[XO2-4000HCF|STEP-MXO2第二代]]完全相同,差别只是FPGA芯片。非常适合Altera的FPGA入门学习。 * [[STEP-Baseboard]] 针对高校数字电路教学设计的扩展学习平台 #### 特性与指标 ^版本型号|核心器件|器件厂商|逻辑资源|Block RAM|用户Flash|PLL|DRAM支持 |内部资源 |软核支持 |编译工具 |配置方式 | ^L [[XO2-1200HC]]|LCMXO2-1200HC|Lattice|1280LUTs|64Kbit |64Kbit |1 |DDR/DDR2/LPDDR |SPI、I2C(2)、定时器 |MICO8 |Diamond |JTAG| ^L [[XO2-4000HCF]]|LCMXO2-4000HC|Lattice|4320LUTs|92Kbit |96Kbit |2 |DDR/DDR2/LPDDR |SPI、I2C(2)、定时器 |MICO8/32、8051 |Diamond|软件内支持| ^L [[XO2-4000HCU]]|LCMXO2-4000HC|Lattice|4320LUTs|92Kbit |96Kbit |2 |DDR/DDR2/LPDDR |SPI、I2C(2)、定时器 |MICO8/32、8051 |Diamond|U盘| ^A [[MAX10M02]]|10M02SCM153|Altera|2000LEs|108Kbit|12KB |2 |DDR2/DDR3L/DDR3/LPDDR2 |16路硬件乘法器 |无 |Quartus II |软件内支持| ^A [[MAX10M08]]|10M08SCM153|Altera|8000LEs|378Kbit|172KB|2 |DDR2/DDR3L/DDR3/LPDDR2 |24路硬件乘法器 |NOIS、8051|Quartus II |软件内支持| #### 板载资源(XO2-1200HC除外): * 两个RGB三色LED; * 2路用户LED; * 4路拨码开关; * 2路按键; * 36个用户可扩展I/O; #### 其它特性: * 支持的开发工具Lattice的[[Diamond]]或Altera的Quartus II * 支持Mico8、Mico32、NOIS、[[8051]]、Arm Cortex M0软核处理器 * 1路Micro USB接口:可以通过USB供电、并对[[FPGA]]编程,使用简单 * 超小型设计:[[DIP40]]封装大小,板卡尺寸52mm x 18mm,方便扩展 #### 文章阅读 * 官方资源Wiki: http://www.stepfpga.com/doc * 来自微信公众号“电子森林”的文章 * [FPGA是什么?里面有什么?能做什么?](https://mp.weixin.qq.com/s/NOXJqn3l8R_mjlYxRDz9Fg) * [FPGA不应该是大奔司机的装X神器,而是普通大众的代步工具](https://mp.weixin.qq.com/s/RpK-tTSsCH8N9ajcZyxIMQ) * [FPGA的普及门槛及创新之路](https://mp.weixin.qq.com/s/bKWnknLpxe6czHI9iI8UGQ) * [要让RISC-V跑起来究竟需要多大的FPGA?](https://mp.weixin.qq.com/s/hHk5w56zlwmSbvSn-adpdQ) * [从概念到FPGA逻辑实现的设计流程](https://mp.weixin.qq.com/s/DerCzK9xNrr19itvgW1oIA) * [Verilog编程的要点](https://mp.weixin.qq.com/s/DeVQ4nCRAotKtrBhH7YUrg) * 项目 * STEPFPGA网站上的初级项目 * [[1._点亮led|点亮LED]] * [[2._rgb_led|RGB三色LED]] * [[3._3-8译码器|3-8译码器]] * [[4._数码管显示|数码管显示]] * [[5._时钟分频|时钟分频]] * [[6._led流水灯|LED流水灯]] * [[7._按键消抖|按键消抖]] * [[8._计时控制|计时控制]] * [[9._呼吸灯|呼吸灯]] * [[10._交通灯|简易交通灯]] * STEPFPGA网站上的中级项目 * [[矩阵键盘键入系统设计|矩阵键盘键入系统设计]] * [[简易电子琴设计|简易电子琴设计]] * [[旋转调节系统设计|旋转调节系统设计]] * [[比赛计分系统设计|比赛计分系统设计]] * [[串口监视系统设计|串口监视系统设计]] * [[简易电压表设计|简易电压表设计]] * [[波形信号发生器设计|波形信号发生器设计]] * [[智能接近系统设计|智能接近系统设计]] * [[数字温湿度计设计|数字温湿度计设计]] * [[数字万年历设计|数字万年历设计]] * [[图片显示系统设计|图片显示系统设计]] * [[屏幕保护系统设计|屏幕保护系统设计]] * [[wifi_esp8266通信系统设计|WIFI_ESP8266通信系统设计]] * STEPFPGA网站上的高级项目 * [[stepfpga_scope|简易示波器]] #### 编程语言 * [[verilog|Verilog HDL]] * System Verilog * VHDL #### 扩展板 * [[step-baseboard|STEP-Baseboard V3.0教学实验板]] * [[baseboard_arduino|Arduino转接板]] * [[适配板_树莓派|树莓派转接板]] * 外设板 * [[扩展模块_传感器|传感器扩展功能模块]] * [[扩展模块_led点阵|LED点阵扩展功能模块]] * [[扩展模块_计算器|计算器扩展功能模块]] * [[扩展模块_电子琴|电子琴扩展功能模块]] * [[扩展模块_无线测试|无线扩展功能模块]] * [[ext_extend|显示与串行通信功能模块]] #### 硬件相关 * 数据手册 * {{:step_mxo2硬件手册.pdf|}} * {{:step_mxo2软件手册.pdf|}} * PCB设计文档 * {{:step_mxo2_框图.png?linkonly|框图}} * {{:step_mxo2原理图源文件.zip|原理图源文件}} * {{:step_mxo2_pcb_file.zip|PCB设计源文件}} * {{:step_mxo2_gerber.zip|Gerber文件}} * {{:step_mxo2_bom.pdf|BOM采购清单}}